VHDL的如下STD库中对应的逻辑包有什么功用?

1个回答

  • 首先,你列出的3个程序包都不在VHDL的STD库中,而是在IEEE库中.

    std_logic_1164程序包声明了std_Ulogic类型及其决断子类型std_logic,也声明了这种类型构成的数组std_logic_vector,还有这些类型的逻辑运算符函数.如果你需要使用std_logic类型,并只做逻辑类运算的话,就只需要声明LIBRARY IEEE;和USE std_logic_1164.ALL;就可以了.

    但如果你需要进行std_logic类型的算术运算的话,就还要再声明std_logic_arith程序包;如果你的算术运算的操作数是std_logic_vector类型的话,则根据你需要做带符号算术运算还是无符号算术运算,来决定是声明std_logic_signed程序包,还是声明std_logic_unsigned程序包.